An Ageing-Aware and Temperature Mapping Algorithm For Multi-Level Cache Nodes

Emmanuel Ofori-Attah, Michael Opoku Agyeman

Research output: Contribution to JournalArticlepeer-review

Abstract

Increase in chip inactivity in the future threatens the performance of many-core systems and therefore, efficient techniques are required for continuous scaling of transistors. As of a result of this challenge, future proposed many-core system designs must consider the possibility of a 50% functioning chip per time as well maintaining performance. Fortunately, this 50% inactivity can be increased by
managing the temperature of active nodes and the placement of the dark nodes to leverage a balance working chip whilst considering the lifetime of nodes. However, allocating dark nodes inefficiently can increase the temperature of the chip and increase the waiting time of applications. Consequently, due to stochastic application characteristics, a dynamic rescheduling technique is more desirable compared to fixed design mapping. In this paper, we propose an Ageing Before Temperature Electromigration-Aware, Negative Bias Temperature Instability (NBTI) & Time-dependent Dielectric Breakdown (TDDB) Neighbour Allocation (ABENA 2.0), a dynamic rescheduling management system which considers the ageing and temperature before mapping applications. ABENA also considers the location of active and dark nodes and migrate task based on the characteristics of the nodes. Our proposed algorithm employ Dynamic Voltage Frequency Scaling (DVFS) to reduce the Voltage and Frequency (VF) of the nodes. Results show that, our proposed methods improve the ageing of nodes compared to a conventional round-robin management system by 10% in temperature, and 10% ageing
Original languageEnglish
Pages (from-to)19162-19172
Number of pages11
JournalIEEE Access
Volume11
Early online date21 Jun 2022
DOIs
Publication statusPublished - 21 Jun 2022

Keywords

  • Dynamic Voltage Frequency Scaling (DVFS)
  • Dark Core
  • Dark-Silicon
  • Power Management
  • Power States
  • Run-time Mapping
  • Many-Core Systems
  • Task Migration
  • Electrical and Electronic Engineering
  • General Computer Science
  • General Materials Science
  • General Engineering

Fingerprint

Dive into the research topics of 'An Ageing-Aware and Temperature Mapping Algorithm For Multi-Level Cache Nodes'. Together they form a unique fingerprint.

Cite this